FC2カウンター FPGAの部屋 2012年09月08日
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

WebPACK14.2でZedBoardのPS & PLチュートリアルをやってみた3(IPの設定)

WebPACK14.2でZedBoardのPS & PLチュートリアルをやってみた2(IPの追加)”の続き。

今回は、前回追加したIPの設定を行った。

51.chipscope_axi_monitor_0 のCHIPSCOPE_ICON_CONTROL と、chipscope_icon_0 のcontrol0 が接続されていないので接続する。
ZedBoard_PSPL_25_120907.png

52.chipscope_axi_monitor_0 のCHIPSCOPE_ICON_CONTROL のConnected Ports をクリックすると接続の選択が出来る。chipscope_icon_0 のcontrol0 を選択した。
ZedBoard_PSPL_26_120907.png

53.chipscope_axi_monitor_0 のCHIPSCOPE_ICON_CONTROL と、chipscope_icon_0 のcontrol0 が接続された。他の接続は接続されていた。
ZedBoard_PSPL_27_120907.png
ZedBoard_PSPL_28_120907.png

54.Processing_system7_0 を展開して、(BUS_IF) M_AXI_GP0::M_AXI_GPO_ACLK にprocessing_system7_0 :: FCLK_CLK0 が接続されていることを確認した。
ZedBoard_PSPL_29_120908.png

55.Processing_system7_0 のIRQ_F2P がNo Connection なのでaxi_timer_0のInterrupt に接続する。 L to H: No Connection をクリックする。
ZedBoard_PSPL_30_120908.png

56.Interrupt Connection Dialog が開く。Unconnected Interrrpt(s) ペインの axi_timer_0のInterrupt が選択されているので右向き矢印をクリックする。
ZedBoard_PSPL_31_120908.png

57.Unconnected Interrrpt(s) ペインの axi_timer_0のInterrupt が Connected Interrrpt(s) ペインに移動した。OKボタンをクリックした。
ZedBoard_PSPL_32_120908.png

58.Processing_system7_0 のIRQ_F2P がL to H: axi_timer_0_Interrupt に接続された。
ZedBoard_PSPL_33_120908.png

59.Bus Interfacesタブをクリックした。chipscope_axi_monitor_0 のMON_AXIはNo Connetion になっている。No Connetion をクリックするとプルダウンメニューが出てくる。そこから、axi_gpio_0.S_AXIを選択した。
ZedBoard_PSPL_34_120908.png

60.chipscope_axi_monitor_0 のMON_AXIがaxi_gpio_0.S_AXI に接続された。これで、axi_gpio_0 のスレーブAXIバスのトランザクションがChipScope Analyzer で観察することが出来る。
ZedBoard_PSPL_35_120908.png

61.XPSのZynqタブで、緑色の32b GP AXI Master Portsボタンをクリックした。
ZedBoard_PSPL_36_120908.png

62.processing_system7のダイアログが開いた。Generalを展開した。

63.Enable GPIO on EMIO Interface にチェックを付けた。

64.Width of GPIO on EMIO Interface を1にセットした。OKボタンをクリックした。
ZedBoard_PSPL_37_120908.png

65.System Assembly Viewタブをクリックし、Portsタブをクリック。processing_system7_0を展開する。

66.(IO_IF)GPIO_0 のConnected Port のNot connected to External Ports をクリックしてプルダウンメニューからMake Ports External を選択した。
ZedBoard_PSPL_38_120908.png

67.(IO_IF)GPIO_0 のConnected Port がConnected to External Ports に変更された。
ZedBoard_PSPL_39_120908.png

68.External Ports を展開すると、axi_gpio_GPIO_IO_pin とprocessing_system7_0_GPIO_pin が外部ポートに出力されている。
ZedBoard_PSPL_40_120908.png
ZedBoard_PSPL_41_120908.png

69.ProjectメニューからDesign Rule Check を実行した。エラーはなかった。
ZedBoard_PSPL_42_120908.png

70.XPSを閉じた。

71.PlanAheadに戻った。system が追加されている。
ZedBoard_PSPL_43_120908.png

WebPACK14.2でZedBoardのPS & PLチュートリアルをやってみた4(インプリメント)”に続く。

  1. 2012年09月08日 05:33 |
  2. ZedBoard
  3. | トラックバック:0
  4. | コメント:0