FC2カウンター FPGAの部屋 FPGAの部屋まとめサイトの更新
fc2ブログ

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。Xilinx ISEの初心者の方には、FPGAリテラシーおよびチュートリアルのページをお勧めいたします。

FPGAの部屋まとめサイトの更新

FPGAの部屋のまとめサイトを更新しました。
ZedBoardLinux制約を追加して、12月5日までの記事をまとめサイトに追加しました。

  1. 2012年12月05日 05:46 |
  2. その他のFPGAの話題
  3. | トラックバック:0
  4. | コメント:0

コメント

コメントの投稿


管理者にだけ表示を許可する

トラックバック URL
https://marsee101.blog.fc2.com/tb.php/2325-a26b79bd
この記事にトラックバックする(FC2ブログユーザー)